全球ALD/高K金属前驱体市场分析(2023-2024)
市场调查报告书
商品编码
1413683

全球ALD/高K金属前驱体市场分析(2023-2024)

ALD / High K Metal Precursors Market Report (a Critical Materials Report) 2023-2024

出版日期: | 出版商: TECHCET | 英文 276 Pages | 商品交期: 最快1-2个工作天内

价格

本报告分析了全球与无机气体和液体 CVD/ALD 前驱体(金属、金属氧化物、高 k、电介质和 SOD 材料)相关的市场和技术趋势。在过去的二十年中,针对半导体产业特有的 ALD 和 CVD 前驱体发表了许多研究论文和专利。该报告还讨论了新前体的开发途径和路线图,以及当前的环境、健康和安全 (EHS) 以及将这些材料投入大批量生产 (HVM) 的监管障碍。为所有类型的前体提供预测,特别是先进的前端绝缘和绝缘,包括 higi-K、金属电极、互连金属化、牺牲层、低 k 电介质、硬掩模、心轴和蚀刻停止层。导电材料。这些製程领域与先进逻辑(sub-45nm、28nm至10/7nm节点、未来5nm和3nm节点)、先进DRAM和3DNAND易失性和非挥发性记忆体相关的高成长潜力正在引起关注。

ALD/High-K 市场最新资讯和分析:概述 - 特色新闻稿:

目录

第一章执行摘要

第二章 研究范围、目的与方法

第三章 半导体产业市场现况与展望

  • 世界经济
    • 连结半导体产业与全球经济
    • 半导体销售额成长率
    • 台湾月度销售趋势
    • 2023年高度不确定性-半导体收入成长预计将放缓至负值
  • 晶片销售趋势:按电子设备细分市场
    • 手机
    • PC 出货量
    • 伺服器/IT市场
  • 半导体製造业的成长与扩张
    • 铸造厂扩建公告:概述
    • 透过在世界各地扩大铸造厂来加速成长
    • 资本支出趋势
    • 技术路线图
    • 代工投资评估
  • 政策和贸易趋势及影响
  • 半导体材料概述
    • 晶片生产进度可能受到材料产能限制
    • 减少物流问题
    • 晶圆市场趋势预测(至2027年)
    • 材料市场预测

第四章 前驱体市场走势

  • 市场趋势
    • 市场趋势:推出晶圆数量
    • 市场趋势:引入晶圆数量(逻辑)
    • 市场趋势:引入晶圆数量(DRAM)
    • 市场趋势:引入晶圆数量(NAND)
  • 供给能力、需求和投资
    • WF6 的需求驱动因素
    • WF6的市场需求
    • WF6市场需求:MO ALD IP应用
    • WF6的市场需求
  • 供给能力、需求和投资
    • 供应能力、需求和投资:铪和锆
  • 金属前驱物:区域趋势
    • 区域趋势:金属前驱
    • 市场趋势与驱动因素:按地区
  • CVD/ALD设备市场
    • WFE 预测:所有类型
    • WFE预测:堆迭、蚀刻/清洁、光刻、计量等。
  • 技术驱动因素/材料变化与转变:依设备类型
    • 过去10年整体趋势:从PVD/LPCVD到PECVD/ALD
    • 高阶逻辑节点HVM的估计
    • DRAM节点HVM估计
    • 3D NAND 节点 HVM 估计
    • 按设备细分市场划分的机会:概述
  • 半导体製程和材料的趋势
    • 按元件类型划分的蚀刻製程:原子层蚀刻
    • 区域选择性沉积
    • 定向自组装 (DSA) 和 EUV
    • 直接自组装 (DSA) 和 EUV
    • 2D TDM(过渡金属二硫化物)
    • EUV 干抗蚀剂
    • EUV抗蚀剂下层
    • 其他用途:光学
  • EHS 和物流问题:锆和铪
    • EHS 和物流问题:锆和铪
    • EHS 与物流问题:钛
    • EHS 与物流问题:钨
    • EHS 与物流问题:钨
    • EHS 与物流问题:钴
    • EHS 与物流问题:Ruthenium
    • 半导体製造产生的温室气体
    • EUV 和能源
    • 评估原子层沉积 (ALD) 製程的环境影响以及减少影响的途径
  • 标准套件/阀门类型变更
  • 市场评价

第五章市场统计与预测:按细分市场

  • 前驱体市场表现及预测(5年)
    • CVD/ALD 金属和高 K 前驱体收入(2021-2027 年)
    • 供需预测:WF6(至 2023 年)
    • 市场评价:金属和高k
  • 併购 (M&A) 活动
    • 併购活动 - MERCK & MECARO
  • 新工厂
  • 供应商工厂关闭 - 未报告
  • 新加入者 - 干阻联盟
  • 价格趋势
    • 价格趋势:铪

第六章 下层材料供应链

  • 下游供应链:概述
    • 下游供应链:颠覆与中国
  • 后勤
  • 下游供应链的新进入者 - 未报告
  • 下游供应链工厂最新资讯(新)-无报告
  • 下游供应链:TechCET 分析师评估

第七章 供应商简介

  • ADEKA CORPORATION
  • AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
  • AZMAX CO., LTD.
  • CITY CHEMICAL LLC
  • DNF CO., LTD.
  • 其他20多家企业

This report provides market and technical trend information on inorganic gases and liquid CVD/ALD precursors (metal, metal oxide, high K, dielectric and SOD materials). For the last 20 years, there have been many research papers and patents published regarding ALD and CVD precursors specifically for the semiconductor industry. This report includes detail on the development path and roadmaps for new precursors and any current EHS and regulatory hurdles for these materials to enter into high volume manufacturing (HVM). Forecasts are provided on precursors of all types, with a focus is on the leading-edge front end of the line insulating and conductive materials, including high K, metal electrode, interconnect metallization, sacrificial layers, low-k dielectrics, hard masks, mandrel, and etch stop layers. These process areas are of interest because of the high growth potential associated with leading-edge logic <45 nm, 28 nm to 10/7 nm nodes, and the future 5 & 3 nm nodes, as well as advanced DRAM and 3DNAND volatile and non-volatile memories.

This report comes with 3 Quarterly Updates featuring updated market information and forecasting from the report analyst.

Table of Contents

1. Executive Summary

  • 1.1. REGIONAL TRENDS-METAL PRECURSORS
  • 1.2. REGIONAL TRENDS-TOTAL PRECURSOR MARKET
  • 1.3. PRECURSOR MARKET-HISTORICAL AND 5-YEAR FORECAST
  • 1.4. CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2021 TO 2027
  • 1.5. ASSESSMENT-METAL & HIGH-K
  • 1.6. SUPPLY-DEMAND FORECAST-WF6 (UNTIL 2023)
  • 1.7. CVD AND ALD EQUIPMENT MARKET
  • 1.8. ANALYST ASSESSMENT-HI K & METAL PRECURSORS
    • 1.8.2. ANALYST ASSESSMENT

2. Scope, Purpose, and Methodology

  • 2.1. SCOPE
  • 2.2. PURPOSE
  • 2.3. METHODOLOGY
  • 2.4. OVERVIEW OF OTHER TECHCET CMR™ REPORTS

3. Semiconductor Industry Market Status & Outlook

  • 3.1. WORLDWIDE ECONOMY
    • 3.1.1. SEMICONDUCTOR INDUSTRIES TIES TO THE GLOBAL ECONOMY
    • 3.1.2. SEMICONDUCTOR SALES GROWTH
    • 3.1.3. TAIWAN MONTHLY SALES TRENDS
    • 3.1.4. UNCERTAINTY ABOUNDS ESPECIALLY FOR 2023 -SLOWER TO NEGATIVE SEMICONDUCTOR REVENUE GROWTH EXPECTED
  • 3.2. CHIPS SALES BY ELECTRONIC GOODS SEGMENT
    • 3.2.1. SMARTPHONES
    • 3.2.2. PC UNIT SHIPMENTS
    • 3.2.3. SERVERS / IT MARKET
  • 3.3. SEMICONDUCTOR FABRICATION GROWTH & EXPANSION
    • 3.3.1. FAB EXPANSION ANNOUNCEMENT SUMMARY
    • 3.3.2. WW FAB EXPANSION DRIVING GROWTH
    • 3.3.3. EQUIPMENT SPENDING TRENDS
    • 3.3.4. TECHNOLOGY ROADMAPS
    • 3.3.5. FAB INVESTMENT ASSESSMENT
  • 3.4. POLICY & TRADE TRENDS AND IMPACT
  • 3.5. SEMICONDUCTOR MATERIALS OVERVIEW
    • 3.5.1. COULD MATERIALS CAPACITY LIMIT CHIP PRODUCTION SCHEDULES?
    • 3.5.2. LOGISTICS ISSUES EASED DOWN
    • 3.5.3. TECHCET WAFER STARTS FORECAST THROUGH 2027
    • 3.5.4. TECHCET'S MATERIAL FORECAST

4. Precursor Market Trends

  • 4.1. MARKET TRENDS
    • 4.1.1. MARKET TRENDS-WAFER STARTS
    • 4.1.2. MARKET TRENDS-WAFER STARTS LOGIC
    • 4.1.3. MARKET TRENDS-WAFER STARTS DRAM
    • 4.1.4. MARKET TRENDS-WAFER STARTS NAND
  • 4.2. SUPPLY CAPACITY AND DEMAND, INVESTMENTS
    • 4.2.1. WF6 DEMAND DRIVERS
    • 4.2.2. WF6 MARKET DEMAND
    • 4.2.4. WF6 MARKET DEMAND-MO ALD IP FILING
    • 4.2.5. WF6 MARKET DEMAND
  • 4.3. SUPPLY CAPACITY AND DEMAND, INVESTMENTS
    • 4.3.1. SUPPLY CAPACITY AND DEMAND, INVESTMENTS: HAFNIUM
  • & ZIRCONIUM
  • 4.4. REGIONAL TRENDS-METAL PRECURSORS
    • 4.4.1. REGIONAL TRENDS-METAL PRECURSORS
    • 4.4.2. REGIONAL TRENDS AND DRIVERS
  • 4.5. CVD AND ALD EQUIPMENT MARKET
    • 4.5.1. WFE FORECAST: ALL TYPES
    • 4.5.2. WFE FORECAST: DEPOSITION, ETCH & CLEAN, LITHOGRAPHY, METROLOGY ETC.
  • 4.6. TECHNICAL DRIVERS /MATERIAL CHANGES AND TRANSITIONS BY DEVICE TYPE
    • 4.6.1. GENERAL TREND LAST DECADE GOING FROM PVD & LPCVD TO PECVD & ALD
    • 4.6.2. ADVANCED LOGIC NODE HVM ESTIMATE
    • 4.6.3. DRAM NODE HVM ESTIMATE
    • 4.6.4. 3D NAND NODE HVM ESTIMATE
    • 4.6.5. SUMMARY OF OPPORTUNITIES BY DEVICE SEGMENT
  • 4.7. SEMICONDUCTOR PROCESS & MATERIALS TRENDS
    • 4.7.1. ETCH PROCESS BY DEVICE TYPE-ATOMIC LAYER ETCHING ALE
    • 4.7.2. AREA SELECTIVE DEPOSITION
    • 4.7.3. DIRECTED SELF ASSEMBLY (DSA) AND EUV
    • 4.7.4. DIRECT SELF ASSEMBLY (DSA) AND EUV
    • 4.7.5. 2D TRANSITION METAL DICHALCOGENIDES (TMD)
    • 4.7.6. DRY RESIST FOR EUV
    • 4.7.7. UNDERLAYERS FOR EUV RESIST
    • 4.7.8. OTHER APPLICATIONS-CHAMBER COATINGS BY ALD (Y2O3)
    • 4.7.9. OTHER APPLICATIONS-OPTICS
  • 4.8. EHS AND LOGISTIC ISSUES-ZIRCONIUM AND HAFNIUM
    • 4.8.1. EHS AND LOGISTIC ISSUES-ZIRCONIUM AND HAFNIUM
    • 4.8.2. EHS AND LOGISTIC ISSUES-TITANIUM
    • 4.8.3. EHS AND LOGISTIC ISSUES-TUNGSTEN
    • 4.8.4. EHS AND LOGISTIC ISSUES-TUNGSTEN
    • 4.8.5. EHS AND LOGISTIC ISSUES-COBALT
    • 4.8.6. EHS AND LOGISTIC ISSUES-RUTHENIUM
    • 4.8.7. GREEN HOUSE GASES FROM SEMICONDUCTOR PRODUCTION
    • 4.8.8. EUV AND ENERGY
    • 4.8.9. ASSESSING THE ENVIRONMENTAL IMPACT OF ATOMIC LAYER DEPOSITION (ALD) PROCESSES AND PATHWAYS TO LOWER IT
  • 4.9. CHANGES IN STANDARD PACKAGING/VALVE TYPES
  • 4.10. MARKET ASSESSMENT

5. Segment Market Statistics & Forecasts

  • 5.1. PRECURSOR MARKET-HISTORICAL AND 5-YEAR FORECAST
    • 5.1.1. CVD/ALD METAL & HIGH-K PRECURSOR REVENUE 2021 TO 2027
    • 5.1.2. SUPPLY-DEMAND FORECAST-WF6 (UNTIL 2023)
    • 5.1.3. ASSESSMENT-METAL & HIGH-K
  • 5.2. M&A ACTIVITIES
    • 5.2.1. M&A ACTIVITIES-MERCK & MECARO
  • 5.3. NEW PLANTS
    • 5.3.1. NEW PLANTS
    • 5.3.2. NEW PLANTS
    • 5.3.3. NEW PLANTS
    • 5.3.4. NEW PLANTS
  • 5.4. SUPPLIER PLANT CLOSURES-NONE REPORTED
  • 5.5. NEW ENTRANTS-DRY RESIST CONSORTIUM
  • 5.6. PRICING TRENDS
    • 5.6.1. PRICING TRENDS-HAFNIUM

6. Sub Tier Material Supply Chain

  • 6.1. SUB-TIER SUPPLY-CHAIN: INTRODUCTION
    • 6.1.1. SUB-TIER SUPPLY-CHAIN: DISRUPTIONS & CHINA
  • 6.2. LOGISTICS
  • 6.3. SUB-TIER SUPPLY-CHAIN "NEW" ENTRANTS-NONE REPORTED
  • 6.4. SUB-TIER SUPPLY-CHAIN PLANTS UPDATES-NEW-NONE REPORTED
  • 6.5. SUB-TIER SUPPLY-CHAIN TECHCET ANALYST ASSESSMENT

7. Supplier profiles

  • ADEKA CORPORATION
  • AIR LIQUIDE (MAKER, PURIFIER, SUPPLIER)
  • AZMAX CO., LTD.
  • CITY CHEMICAL LLC
  • DNF CO., LTD.
  • ...and 20+ more

LIST OF FIGURES

  • FIGURE 1: METAL PRECURSORS MARKET SHARES 2022
  • FIGURE 2: TOTAL PRECURSOR MARKETS REGIONAL 2022
  • FIGURE 3: TOTAL PRECURSOR MARKET, M USD
  • FIGURE 4: CVD/ALD METAL & HIGH-K PRECURSORS 2021 TO 2027
  • FIGURE 5: WF6 SUPPLY VS. DEMAND THROUGH 2027
  • FIGURE 6: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION
  • FIGURE 7: GLOBAL ECONOMY AND THE ELECTRONICS SUPPLY CHAIN (2022)
  • FIGURE 8: WORLDWIDE SEMICONDUCTOR SALES
  • FIGURE 9: TECHCET'S TAIWAN SEMICONDUCTOR INDUSTRY I NDEX (TTSI)*
  • FIGURE 10: 2023 SEMICONDUCTOR INDUSTRY REVENUE GROWTH FORECASTS
  • FIGURE 11: 2022 SEMICONDUCTOR CHIP APPLICATIONS
  • FIGURE 12: MOBILE PHONE SHIPMENTS WW ESTIMATES
  • FIGURE 13: WORLDWIDE PC AND TABLET FORECAST
  • FIGURE 14: ELECTRIFICATION TREND BY WORLD REGION
  • FIGURE 15: SEMICONDUCTOR AUTOMOTIVE PRODUCTION
  • FIGURE 16: TSMC PHOENIX INVESTMENT ESTIMATED WILL BE US $40 B
  • FIGURE 17: CHIP EXPANSIONS 2022-2027 US$366 B
  • FIGURE 18: SEMICONDUCTOR CHIP MANUFACTURING REGIONS OF THE WORLD
  • FIGURE 19: GLOBAL TOTAL EQUIPMENT SPENDING BY SEGMENT (US$ B)
  • FIGURE 20: OVERVIEW OF ADVANCED LOGIC DEVICE TECHNOLOGY ROADMAP
  • FIGURE 21: INTEL OHIO PLANT SITE FEB. 2023 AND ARTIST RENDERING (ON BOTTOM)
  • FIGURE 22: EUROPE CHIP EXPANSION UPSIDE
  • FIGURE 23: PORT OF LA
  • FIGURE 24: TECHCET WAFER START FORECAST BY NODE SEGMENTS**
  • FIGURE 25: GLOBAL SEMICONDUCTOR MATERIALS OUTLOOK
  • FIGURE 26: FORECASTS--WAFER STARTS 2021 TO 2027
  • FIGURE 27: FORECASTS--WAFER STARTS LOGIC 300 MM
  • FIGURE 28: FORECASTS--WAFER STARTS DRAM 300 MM
  • FIGURE 29: FORECASTS--WAFER STARTS NAND 300 MM
  • FIGURE 30: 3DNAND MARKET SHARE 2022
  • FIGURE 31: 3DNAND STRUCTURE
  • FIGURE 32: MO PRECURSORS
  • FIGURE 33: PATENT FAMILIES FILED FOR MOLYBDENUM ALD IN THE MEMORY SPACE
  • FIGURE 34: WAFER START FORECAST SHOWING TWO TIMING SCENARIOS WHERE MO COULD BE INTRODUCED
  • FIGURE 35: ZIRCONIUM METAL DEMAND 2021 1.6 MILLION TONNES
  • FIGURE 36: HAFNIUM METAL DEMAND 2021 78 TONNES
  • FIGURE 37: METAL PRECURSORS MARKET SHARES 2022
  • FIGURE 38: CVD AND ALD TOTAL EQUIPMENT MARKET 2022 USD 17-18 BILLION
  • FIGURE 39: SEMI 2022 SEMICONDUCTOR EQUIPMENT FORECAST
  • FIGURE 40: 2022 TECHINSIGHTS WFE SPENDING (TOP) AND 2022 GARTNER WFE SPENDING PER NODE (BOTTOM)
  • FIGURE 41: 3D DEVICE ARCHITECTURES
  • FIGURE 42: LOGIC TECHNOLOGY NODE ROADMAP FOR LEADING IDMS
  • FIGURE 43: SAMSUNG START 3 NM PILOT RAMP USING GAA-FET TECHNOLOGY JUNE 2022
  • FIGURE 44: IMEC 2022 LOGIC ROADMAP
  • FIGURE 45: SCALING AND LITHOGRAPHY TRENDS--A HIGH COST IN CAPITAL EXPENDITURE, ENERGY AND EMISSIONS
  • FIGURE 46: APPLIED MATERIALS CENTURA PATTERN SHAPING CLUSTER
  • FIGURE 47: DRAM TECHNOLOGY ROADMAP FOR LEADING IDMS
  • FIGURE 48: IP FILING IN THE FIELD OF 3DRAM IS ACCELERATING
  • FIGURE 49: NAND TECHNOLOGY ROADMAP FOR LEADING IDMS
  • FIGURE 50: PATHWAYS FOR CONTINUED 3D NAND SCALING
  • FIGURE 51: 3DNAND STACK TRENDS FROM <100L TO 4 STACKS
  • FIGURE 52: MEMORY STACK CHALLENGES FOR V-NAND
  • FIGURE 53A: FINFET TO GAA TRANSISTOR DIAGRAMS SHOWING SELECTIVE ETCHING IS NEEDED TO ADDRESS DEVICE COMPLEXITY
  • FIGURE 53B: ALE PROCESS CYCLE
  • FIGURE 54: PERIOD TABLE INDICATING CANDIDATES FOR ALE (ISOTROPIC ETCHING)
  • FIGURE 55: APPLICATION OF ALE (ISOTROPIC ETCH)
  • FIGURE 56: ALD AND ALE COMBO PROCESS
  • FIGURE 57: PLASMA AND THERMAL ALE PROCESSES
  • FIGURE 58: LAM ALE PROCESS
  • FIGURE 59: ALD / ALE PROCESS ROADMAP
  • FIGURE 60: ALE PATENT ACTIVITY BY COMPANY THROUGH 2022
  • FIGURE 61: AREA SELECTIVE SIN DEPOSITION BY ALD (AVS ASD2022)
  • FIGURE 62: DSA AND EUS PROCESSES
  • FIGURE 63: RESIST RECTIFICATION WITH DSA
  • FIGURE 64: TEM AND ARTIST RENDERING OF MONOLAYER CHANNEL FORMATION
  • FIGURE 65: EUV LITHOGRAPHY ENABLING GATE STRUCTURES AND PITCH SCALING
  • FIGURE 66: DRY RESIST FOR EUV SEM IMAGE
  • FIGURE 67: SPIN ON CARBON (SOC) DIELECTRIC FOR EUV METAL OXIDE RESISTS PATTERNS AFTER LITHO
  • FIGURE 68: UNDERLAYER (DIELECTRIC) HARDMASKS TRENDS FOR NIGH NA EUV
  • FIGURE 69: SPIN ON PRIMER (SOC) VS. HMDS PRIMER
  • FIGURE 70: Y2O3 ALD VS. SPRAY COATINGS
  • FIGURE 71: GREENHOUSE GAS CONTRIBUTIONS OF CHIP FAB MATERIALS AND EQUIPMENT
  • FIGURE 72: ENVIRONMENTAL IMPACT (GWP) OF VARIOUS PROCESSES AND GASES
  • FIGURE 73: CO2EQ OUTPUT FROM ETCH GASES (SOURCE: IMEC)
  • FIGURE 74: TOTAL EMISSIONS AND ENERGY USE PROJECTION PER LOGIC NODE
  • FIGURE 75: ENVIRONMENTAL IMPACT OF ALD
  • FIGURE 76: SEGMENTATION OF THE AMPOULE FLEET 2020
  • FIGURE 77: TOTAL PRECURSOR MARKET, M USD
  • FIGURE 78: CVD/ALD METAL & HIGH-K PRECURSORS 2021 TO 2027
  • FIGURE 79: WF6 SUPPLY VS. DEMAND THROUGH 2027
  • FIGURE 80: WHAT IS EUV DRY RESIST?
  • FIGURE 81: HAFNIUM METAL SPOT PRICING
  • FIGURE 82: TYPICAL NON-HALIDE LIGANDS USED FOR ALD PRECURSORS
  • FIGURE 83: EXAMPLES OF PRECURSORS SUPPLIED BY SHIP
  • FIGURE 84: OCEAN CONTAINER PRICE INDEX-JULY '20 TO MARCH '23

LIST OF TABLES

  • TABLE 1: DIELECTRIC PRECURSOR REVENUES BY REGION (US$ M)
  • TABLE 2: TOTAL PRECURSOR MARKETS BY REGION (US$ M)
  • TABLE 3: 2017 TO 2027 5-YEAR CAGRS
  • TABLE 4: GLOBAL GDP AND SEMICONDUCTOR REVENUES*
  • TABLE 5: IMF ECONOMIC OUTLOOK*
  • TABLE 6: DATA CENTER SYSTEMS AND COMMUNICATION SERVICES MARKET SPENDING 2022
  • TABLE 7: PRECURSOR USAGE OF LEADING IDMS
  • TABLE 8: DIELECTRIC PRECURSOR MARKET SIZE BY REGION
  • TABLE 9: TOTAL PRECURSOR MARKET SIZE BY REGION
  • TABLE 10: REGIONAL PRECURSOR MARKETS
  • TABLE 12: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR LOGIC DEVICES
  • TABLE 13: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR DRAM
  • TABLE 14: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL FOR 3DNAND
  • TABLE 15: GAS TRENDS AND OPPORTUNITIES BY DEVICE TYPE
  • TABLE 16: OVERVIEW OF DEPOSITION PROCESSES BY DEVICE TYPE AND MATERIAL
  • TABLE 17: PRECURSOR 5-YEAR CAGR COMPARISON
  • TABLE 18: ZIRCONIUM MINING PRODUCTION AND RESERVES
  • TABLE 19: WORLDWIDE TUNGSTEN PRODUCTION AND RESERVES
  • TABLE 20: ZIRCONIUM MINING